From ef905e42e625f54ed5b9d9042387d9a8e2510fa0 Mon Sep 17 00:00:00 2001 From: Kévin Le Gouguec Date: Tue, 26 Mar 2019 15:20:53 +0100 Subject: [implem-vhdl] Déplacement dans SOUMISSION_NIST MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .../add_vhdltbc/encryptdecrypt/state_register.vhd | 26 ---------------------- 1 file changed, 26 deletions(-) delete mode 100644 implementations/vhdl/add_vhdltbc/encryptdecrypt/state_register.vhd (limited to 'implementations/vhdl/add_vhdltbc/encryptdecrypt/state_register.vhd') diff --git a/implementations/vhdl/add_vhdltbc/encryptdecrypt/state_register.vhd b/implementations/vhdl/add_vhdltbc/encryptdecrypt/state_register.vhd deleted file mode 100644 index 96b2510..0000000 --- a/implementations/vhdl/add_vhdltbc/encryptdecrypt/state_register.vhd +++ /dev/null @@ -1,26 +0,0 @@ -library IEEE; -library work; -use IEEE.numeric_std.ALL; -use IEEE.STD_LOGIC_1164.ALL; -use work.crypt_pack.ALL; - -entity state_register is - port( - state_i : in type_state; -- Etat d'entrée - state_o : out type_state; -- Etatde sortie - clock_i : in std_logic; -- Permet de gérer la clock - reset_i : in std_logic); -end state_register; - -architecture state_register_arch of state_register is -begin - process(reset_i, clock_i) -- On définit ici un process car les fonctions ne doivent pas se faire en même temps - begin - if(reset_i = '0') then - state_o <= (others => (others => (others => '0'))); --si rest_i est nul c'est que les valeurs de state_o sont nuls - elsif(clock_i'event and clock_i = '1') then -- Dans le cas d'un front descendant d'horloge state_o prend la valeur de state_i. On utilise un front descendant d'horloge pour un soucis de synchronisation avec sbox - state_o <= state_i; - end if; - end process; - - end state_register_arch; -- cgit v1.2.3