summaryrefslogtreecommitdiff
path: root/src/add_vhdltbc/encrypt/inner_sbox_a.vhd
blob: e82afd27c51eef76fd160c5847c97acfb16ae33c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
-- Implementation of the Lilliput-TBC tweakable block cipher by the
-- Lilliput-AE team, hereby denoted as "the implementer".
--
-- For more information, feedback or questions, refer to our website:
-- https://paclido.fr/lilliput-ae
--
-- To the extent possible under law, the implementer has waived all copyright
-- and related or neighboring rights to the source code in this file.
-- http://creativecommons.org/publicdomain/zero/1.0/

library IEEE;
library work;
use IEEE.std_logic_1164.all;

entity inner_sbox_a is
  port(
    sbox_i  : in  std_logic_vector(3 downto 0);
    sbox_o : out std_logic_vector(3 downto 0)
    );
end inner_sbox_a;


architecture inner_sbox_a_arch of inner_sbox_a is

signal a,b,c,d,x,y,z,t 	:std_logic;
signal a1,b1,c1,d1,e		:std_logic;

begin

a <= sbox_i(3);
b <= sbox_i(2);
c <= sbox_i(1);
d <= sbox_i(0);

a1 <= e xor a;
b1 <= b xor c1;
c1 <= a xor c;
d1 <= d xor (b and c);
e 	<= b xor d1;

x <= c1 and e;
y <= a and d1;
z <= e;
t <= a1 and b1;

sbox_o(3) <= x;
sbox_o(2) <= y;
sbox_o(1) <= z;
sbox_o(0) <= t;
                              
end;